RISC-V MCU中文社区

【分享】 板子的差分时钟如何正确地转化为单端

发表于 全国大学生集成电路创新创业大赛 2022-03-19 09:01:45
0
1286
1

队名:newbird
队号:CICC2469

本组使用的板子是GENESYS2,输入时钟信号为差分时钟信号,而E203使用的时钟都是单端的,所以需要将差分时钟信号转为单端。而通过网络检索,可以得到以下的一种解决方法

解决方法①
在分频IP核中,将输入信号设置为diff,即为差分含义
图片alt

但是这种方法,最后通过约束之后得到的时序是有问题的。一种正确的解决方法如下

解决方法②
使用IBUFGDS原语,将差分时钟信号转为单端
图片alt
如上设置,可以得到正确的时序

看起来这两种方法都是将差分转为单端,可是实际上是不一样的,我们进入差分分频IP核的代码,可以看到如下内容
图片alt
IP核用的是IBUFDS原语将差分时钟信号转为了单端,所以真正的区别在于原语的区别。
通过网络检索,可以得到解释:IBUFGDS是时钟专用的差分转单端,而IBUFDS是普通的,所以在处理差分时钟信号时,需要使用IBUFGDS。

喜欢1
用户评论

未通过实名认证

懒的都不写签名

积分
问答
粉丝
关注
  • RV-STAR 开发板
  • RISC-V处理器设计系列课程
  • 培养RISC-V大学土壤 共建RISC-V教育生态
RV-STAR 开发板