RISC-V MCU中文社区

【分享】 对于蜂鸟e203移植fpga上如何修改约束文件

发表于 开源蜂鸟E203 2023-05-25 12:58:14
0
576
0

对于蜂鸟e203移植fpga上如何修改约束文件

 

学校:安徽工业大学

团队名称:能用就行队

团队编号; CICC1849    

团队成员:高志强,叶颖·,汪志泉

指导老师:王玲玲

 

 

第一步:我们先导入官方网站中蜂鸟e203的代码提供的e203添加进去,并加入ddr200T中的

 

src.文件中的system.v文件并加入约束文件(constrs文件夹之中)





其中我们需要将文件中的e203_defines.v这个代码设置为头文件,同时需要设置为Global Include全部包含,以保证程序可以正常运行。


第二步:就是将源文件中的俩个约束文件合并为一个约束文件(constrs文件夹之中)(根据自身需要简化),可以使程序更加简洁和方便检查验证。

 


下面提供约束代码以供参考:

set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets dut_io_pads_jtag_TCK_i_ival]

set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets IOBUF_jtag_TCK/O]

 

#####            flash define           #####

set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]

set_property CONFIG_MODE   SPIx4             [current_design]

set_property BITSTREAM.CONFIG.CONFIGRATE 50  [current_design]

#set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]

. 5

 

#####            clock define           #####

set_property -dict { PACKAGE_PIN J19    IOSTANDARD LVCMOS33 } [get_ports { CLK50MHZ }];

create_clock -add -name sys_clk_pin -period 20 -waveform {0 10} [get_ports {CLK50MHZ}];

 

#####            rst define           #####

set_property PACKAGE_PIN L18  [get_ports fpga_rst  ]

set_property IOSTANDARD LVCMOS33 [get_ports fpga_rst  ]

 

 

#####               MCU JTAG define           #####

set_property PACKAGE_PIN F13 [get_ports mcu_TCK]

set_property PACKAGE_PIN E13 [get_ports mcu_TDO]

set_property PACKAGE_PIN D14 [get_ports mcu_TMS]

set_property PACKAGE_PIN E16 [get_ports mcu_TDI]

set_property IOSTANDARD LVCMOS33 [get_ports mcu_TDO]

set_property IOSTANDARD LVCMOS33 [get_ports mcu_TCK]

set_property IOSTANDARD LVCMOS33 [get_ports mcu_TDI]

set_property IOSTANDARD LVCMOS33 [get_ports mcu_TMS]

set_property KEEPER true [get_ports mcu_TMS]

 

 

 

#####            uart define           #####

set_property PACKAGE_PIN D17  [get_ports {uart0_tx}]

set_property PACKAGE_PIN C17  [get_ports {uart0_rx}] 

set_property IOSTANDARD LVCMOS33 [get_ports {uart0_tx}]

set_property IOSTANDARD LVCMOS33 [get_ports {uart0_rx}]

注:GPIOAGPIOB团队还没用到这部分资源,故未引出

最后:

分析综合,即为完成移植内核。


至此我们已完成约束文件的修改。

喜欢0
用户评论
XIAOWANG

XIAOWANG 实名认证

懒的都不写签名

积分
问答
粉丝
关注
  • RV-STAR 开发板
  • RISC-V处理器设计系列课程
  • 培养RISC-V大学土壤 共建RISC-V教育生态
RV-STAR 开发板