RISC-V MCU中文社区

【求助】 e203仿真不出结果

发表于 开源蜂鸟E203 2021-09-01 09:01:07
5
2086
0

小白入门求教

按照该链接【https://doc.nucleisys.com/hbirdv2/quick_start/simulation.html】进行仿真,运行“make run_test SIM=iverilog”后,一直不出结果,vvp一直在运行

环境:Ubuntu18.04

喜欢0
用户评论 (5)
  • 2021-09-01 09:32:38 1#

    胡灿

    是的,下载master分支下的源码,然后自行编译安装,就是12.0版本,如何编译安装那个repo里面有介绍

    OK,谢谢老师

  • 胡灿

    2021-09-01 09:31:49 胡灿 2#

    老师您好,我问一下啊,这个GitHub现在开源【https://github.com/steveicarus/iverilog】的是不是就是12.0的版本啊?

    是的,下载master分支下的源码,然后自行编译安装,就是12.0版本,如何编译安装那个repo里面有介绍

  • 2021-09-01 09:30:05 3#

    胡灿

    iVerilog版本需要V12.0,这个需要源码编译安装的

    老师您好,我问一下啊,这个GitHub现在开源【https://github.com/steveicarus/iverilog】的是不是就是12.0的版本啊?

  • 2021-09-01 09:13:50 4#

    胡灿

    iVerilog版本需要V12.0,这个需要源码编译安装的

    哦哦,我去试试,谢谢老师啦~

  • 胡灿

    2021-09-01 09:12:18 胡灿 5#

    iVerilog版本需要V12.0,这个需要源码编译安装的

未通过实名认证

懒的都不写签名

积分
问答
粉丝
关注
  • RV-STAR 开发板
  • RISC-V处理器设计系列课程
  • 培养RISC-V大学土壤 共建RISC-V教育生态
RV-STAR 开发板