RISC-V MCU中文社区

蜂鸟e203移植zybo板子或者Spartan-7板子

发表于 开源蜂鸟E203 2020-05-19 22:08:21
3
4960
0

蜂鸟e203移植要从哪些方面入手,在bsp中哪里修改引脚对应关系,约束文件,跪求大神

喜欢0
用户评论 (3)
  • XQS0927

    2020-05-25 12:10:38 XQS0927 1#

    呵呵在努力

    那只用修改arty-master.xdc文件就行了吗

    这我就不太清楚了,我没用过蜂鸟的开发板,我是直接在某品牌国产FPGA的开发板上做的。。。

  • 呵呵在努力

    2020-05-22 14:27:23 呵呵在努力 2#

    XQS0927

    移植E203到其他FPGA开发板上,首先应该关注的是RTL设计中时钟、复位、以及其他输入输出引脚(JTAG、GPIO、SPI之类的),在要移植的开发板上应该接什么管脚。

    BSP与你的RTL设计相关,与用的开发板没有直接关系。如果只是移植,没有修改的话,就不需要对BSP做什么。

    那只用修改arty-master.xdc文件就行了吗

  • XQS0927

    2020-05-20 17:21:54 XQS0927 3#

    移植E203到其他FPGA开发板上,首先应该关注的是RTL设计中时钟、复位、以及其他输入输出引脚(JTAG、GPIO、SPI之类的),在要移植的开发板上应该接什么管脚。

    BSP与你的RTL设计相关,与用的开发板没有直接关系。如果只是移植,没有修改的话,就不需要对BSP做什么。

呵呵在努力

呵呵在努力 实名认证

懒的都不写签名

积分
问答
粉丝
关注
  • RV-STAR 开发板
  • RISC-V处理器设计系列课程
  • 培养RISC-V大学土壤 共建RISC-V教育生态
RV-STAR 开发板