RISC-V MCU中文社区

【求助】 求助:移植e203烧录程序报错Error: JTAG scan chain interrogation failed: all ones,之前程序加载成功过

发表于 全国大学生集成电路创新创业大赛 2023-06-09 21:01:26
0
852
0

我将E203移植到ZYNQ ZU15EG上后,可以运行,但在向其加载程序时,始终报错,报错信息如下,

之前程序烧录成功过两三回,但后来却无法重复了,抓取jtag波形,也有显示,不知道问题出在哪里,

![](/uploadfile/editor/0/5/5481.png)
使用的调试器是这款,连线TDI、TDO、TMS、TCK、GND、5V、3.3V七根

约束文件整体如下:

=========================== Sys Clock & rstn ================================

Clock Signal 50M

create_clock -period 20.000 -name sys_clk_pin -waveform {0.000 10.000} -add [get_ports CLK50MHZ]

FAGA Rst_n

set_property PACKAGE_PIN J17 [get_ports fpga_rstn]
set_property IOSTANDARD LVCMOS33 [get_ports fpga_rstn]

——————————————————————————————————————

=========================== Debug JTAG ======================================

set_property -dict {PACKAGE_PIN F16 IOSTANDARD LVCMOS33} [get_ports mcu_TDI]
set_property -dict {PACKAGE_PIN J10 IOSTANDARD LVCMOS33} [get_ports mcu_TMS]
set_property -dict {PACKAGE_PIN J12 IOSTANDARD LVCMOS33} [get_ports mcu_TDO]
set_property -dict {PACKAGE_PIN J11 IOSTANDARD LVCMOS33} [get_ports mcu_TCK]
set_property KEEPER true [get_ports mcu_TMS]
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets design_1_i/system_1/inst/dut_io_pads_jtag_TCK_i_ival]
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets design_1_i/system_1/inst/IOBUF_jtag_TCK/O]

———————————— End of Debug JTAG —————————————————

=============================== UART 0 ======================================

set_property -dict {PACKAGE_PIN G13 IOSTANDARD LVCMOS33} [get_ports uart0_tx]
set_property -dict {PACKAGE_PIN G11 IOSTANDARD LVCMOS33} [get_ports uart0_rx]

—————————————— End of UART 0 ————————————————

GPIOA

LEDs

set_property -dict {PACKAGE_PIN A17 IOSTANDARD LVCMOS33} [get_ports gpioA_0]
set_property -dict {PACKAGE_PIN L19 IOSTANDARD LVCMOS33} [get_ports gpioA_1]
set_property -dict {PACKAGE_PIN K20 IOSTANDARD LVCMOS33} [get_ports gpioA_2]
set_property -dict {PACKAGE_PIN L20 IOSTANDARD LVCMOS33} [get_ports gpioA_3]

KEY

set_property -dict {PACKAGE_PIN L18 IOSTANDARD LVCMOS33} [get_ports gpioA_4]

40P

set_property -dict {PACKAGE_PIN C16 IOSTANDARD LVCMOS33} [get_ports gpioA_5]
set_property -dict {PACKAGE_PIN A15 IOSTANDARD LVCMOS33} [get_ports gpioA_6]
set_property -dict {PACKAGE_PIN A16 IOSTANDARD LVCMOS33} [get_ports gpioA_7]

OLED Display

set_property -dict {PACKAGE_PIN J15 IOSTANDARD LVCMOS33} [get_ports gpioA_20]
set_property -dict {PACKAGE_PIN H13 IOSTANDARD LVCMOS33} [get_ports gpioA_21]
set_property -dict {PACKAGE_PIN H16 IOSTANDARD LVCMOS33} [get_ports gpioA_22]
set_property -dict {PACKAGE_PIN J16 IOSTANDARD LVCMOS33} [get_ports gpioA_23]

set_property IOSTANDARD LVCMOS12 [get_ports CLK50MHZ]
set_property PACKAGE_PIN AM6 [get_ports CLK50MHZ]

set_false_path -from [get_clocks clk_pl_0] -to [get_clocks sys_clk_pin]
set_false_path -from [get_clocks clk_pl_0] -to [get_clocks sys_clk_pin]
set_false_path -from [get_clocks clk_pl_0] -to [get_clocks sys_clk_pin]
set_false_path -from [get_clocks clk_pl_0] -to [get_clocks sys_clk_pin]
set_false_path -from [get_clocks clk_pl_0] -to [get_clocks sys_clk_pin]
set_false_path -from [get_clocks clk_pl_0] -to [get_clocks -of_objects [get_pins design_1_i/system_1/inst/clk_50to16/inst/mmcme4_adv_inst/CLKOUT1]]
set_false_path -from [get_clocks clk_pl_0] -to [get_clocks -of_objects [get_pins design_1_i/system_1/inst/clk_50to16/inst/mmcme4_adv_inst/CLKOUT1]]
set_false_path -from [get_clocks clk_pl_0] -to [get_clocks -of_objects [get_pins design_1_i/system_1/inst/clk_50to16/inst/mmcme4_adv_inst/CLKOUT1]]
set_false_path -from [get_clocks clk_pl_0] -to [get_clocks -of_objects [get_pins design_1_i/system_1/inst/clk_50to16/inst/mmcme4_adv_inst/CLKOUT1]]
set_false_path -from [get_clocks clk_pl_0] -to [get_clocks -of_objects [get_pins design_1_i/system_1/inst/clk_50to16/inst/mmcme4_adv_inst/CLKOUT1]]
set_false_path -from [get_clocks clk_pl_0] -to [get_clocks -of_objects [get_pins design_1_i/system_1/inst/clk_50to16/inst/mmcme4_adv_inst/CLKOUT1]]
set_false_path -from [get_clocks -of_objects [get_pins design_1_i/system_1/inst/clk_50to16/inst/mmcme4_adv_inst/CLKOUT1]] -to [get_clocks sys_clk_pin]
set_false_path -from [get_clocks -of_objects [get_pins design_1_i/system_1/inst/clk_50to16/inst/mmcme4_adv_inst/CLKOUT1]] -to [get_clocks -of_objects [get_pins design_1_i/system_1/inst/clk_50to16/inst/mmcme4_adv_inst/CLKOUT0]]
set_false_path -from [get_clocks -of_objects [get_pins design_1_i/system_1/inst/clk_50to16/inst/mmcme4_adv_inst/CLKOUT0]] -to [get_clocks sys_clk_pin]

set_false_path -from [get_clocks clk_pl_0] -to [get_clocks -of_objects [get_pins design_1_i/system_1/inst/clk_50to16/inst/mmcme4_adv_inst/CLKOUT0]]
connect_debug_port dbg_hub/clk [get_nets CLK50MHZ_IBUF_BUFGCE]

set_property PACKAGE_PIN K19 [get_ports run_led]
set_property IOSTANDARD LVCMOS33 [get_ports run_led]
set_property IOSTANDARD LVCMOS33 [get_ports mcu_rstn]

set_property PACKAGE_PIN J17 [get_ports mcu_rstn]
set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub]
set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub]
set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub]
connect_debug_port dbg_hub/clk [get_nets CLK50MHZ_IBUF]

喜欢0
用户评论
ywl22

ywl22 实名认证

懒的都不写签名

积分
问答
粉丝
关注
  • RV-STAR 开发板
  • RISC-V处理器设计系列课程
  • 培养RISC-V大学土壤 共建RISC-V教育生态
RV-STAR 开发板