RISC-V MCU中文社区

【求助】 run_test时遇到问题

发表于 开源蜂鸟E203 2023-01-26 10:21:56
2
1604
0

Error: VVP input file 10.3 can not be run with run time version 12.0 (stable)
求助大佬,在/vsim下执行make run_test SIM=iverilog时收到上面的报错,尝试重装iverilog未能解决,应该如何解决,谢谢

喜欢0
用户评论 (2)
  • liuyuxivapor

    2023-01-28 11:55:18 liuyuxivapor 1#

    胡灿

    先make clean一下,用iverilog 12.0重新编译。你现在生成的VVP是在10.3版本的条件下生成的,在12.0版本下不兼容

    解决了,谢谢您

  • 胡灿

    2023-01-28 10:14:55 胡灿 2#

    先make clean一下,用iverilog 12.0重新编译。你现在生成的VVP是在10.3版本的条件下生成的,在12.0版本下不兼容

liuyuxivapor

liuyuxivapor 实名认证

懒的都不写签名

积分
问答
粉丝
关注
  • RV-STAR 开发板
  • RISC-V处理器设计系列课程
  • 培养RISC-V大学土壤 共建RISC-V教育生态
RV-STAR 开发板